dongosolo_bg

mankhwala

XC7K420T-2FFG901I - Madera Ophatikizika, Ophatikizidwa, Magawo Omwe Angatheke M'munda

Kufotokozera mwachidule:

Kintex®-7 FPGAs akupezeka mu -3, -2, -1, -1L, ndi -2L liwiro giredi, ndi -3 kukhala ndi magwiridwe apamwamba kwambiri.Zida za -2L zimawunikiridwa kuti zikhale ndi mphamvu zotsika kwambiri zokhazikika ndipo zimatha kugwira ntchito pamagetsi otsika apakati pamagetsi otsika kwambiri kuposa zida za -2.The -2L mafakitale (I) zipangizo kutentha ntchito kokha pa VCCINT = 0.95V.The -2L yowonjezera (E) zipangizo kutentha akhoza kugwira ntchito pa VCCINT = 0.9V kapena 1.0V.Zida za -2LE zikagwiritsidwa ntchito pa VCCINT = 1.0V, ndi zida za -2LI zikagwiritsidwa ntchito pa VCCINT = 0.95V, zimakhala ndi liwiro lofanana ndi -2 speed grade, kupatulapo zomwe zatchulidwa.Zida za -2LE zikagwiritsidwa ntchito pa VCCINT = 0.9V, tsatanetsatane wa liwiro, mphamvu yosasunthika, ndi mphamvu zowonongeka zimachepetsedwa.Zida zotentha za -1L zankhondo (M) zimakhala ndi liwiro lofananira ndi zida za -1 za kutentha kwa asitikali ndipo zimawunikiridwa kuti zikhale ndi mphamvu zotsika kwambiri.


Tsatanetsatane wa Zamalonda

Zogulitsa Tags

Makhalidwe a Zamalonda

TYPE CHITSANZO
gulu Magawo Ophatikizana (ICs)Zophatikizidwa

Field Programmable Gate Arrays (FPGAs)

wopanga AMD
mndandanda Kintex®-7
kulunga thireyi
Mkhalidwe wa malonda Yogwira
DigiKey ndi yotheka Sizinatsimikizidwe
Nambala ya LAB/CLB 32575
Chiwerengero cha logic zinthu/mayunitsi 416960
Chiwerengero chonse cha ma bits a RAM 30781440
Nambala ya I/Os 380
Voltage - Mphamvu yamagetsi 0.97V ~ 1.03V
Mtundu woyika Pamwamba zomatira mtundu
Kutentha kwa ntchito -40°C ~ 100°C (TJ)
Phukusi/Nyumba 900-BBGA, FCBGA
Wogulitsa chigawo encapsulation 901-FCBGA (31x31)
Nambala yamalonda yamalonda XC7K420
TYPE CHITSANZO
gulu Magawo Ophatikizana (ICs)Zophatikizidwa

Field Programmable Gate Arrays (FPGAs)

wopanga AMD
mndandanda Kintex®-7
kulunga thireyi
Mkhalidwe wa malonda Yogwira
DigiKey ndi yotheka Sizinatsimikizidwe
Nambala ya LAB/CLB 32575
Chiwerengero cha logic zinthu/mayunitsi 416960
Chiwerengero chonse cha ma bits a RAM 30781440
Nambala ya I/Os 380
Voltage - Mphamvu yamagetsi 0.97V ~ 1.03V
Mtundu woyika Pamwamba zomatira mtundu
Kutentha kwa ntchito -40°C ~ 100°C (TJ)
Phukusi/Nyumba 900-BBGA, FCBGA
Wogulitsa chigawo encapsulation 901-FCBGA (31x31)
Nambala yamalonda yamalonda XC7K420

FPGAs

Ubwino wake
Ubwino wa ma FPGA ndi awa:
(1) FPGAs imakhala ndi zida za hardware monga ma logic cell, RAM, multipliers, ndi zina zotero. Mwa kukonza zinthu za hardware izi mwanzeru, mabwalo a hardware monga ochulukitsa, ma registry, ma generator adiresi, ndi zina zotero.
(2) Ma FPGA amatha kupangidwa pogwiritsa ntchito zithunzi za block kapena Verilog HDL, kuchokera kumayendedwe osavuta a zipata kupita ku mabwalo a FIR kapena FFT.
(3) Ma FPGA amatha kukonzedwanso mopanda malire, kukweza njira yatsopano yopangira ma milliseconds mazana angapo, pogwiritsa ntchito kukonzanso kuti muchepetse kuwongolera kwa hardware.
(4) Kuthamanga kwafupipafupi kwa FPGA kumatsimikiziridwa ndi chipangizo cha FPGA komanso mapangidwe ake, ndipo akhoza kusinthidwa kapena kusinthidwa ndi chip mofulumira kuti akwaniritse zofunikira zina (ngakhale, ndithudi, maulendo ogwiritsira ntchito alibe malire ndipo akhoza kuchulukitsidwa, koma kumayendetsedwa ndi njira zamakono za IC ndi zinthu zina).
Zoipa
Zoyipa za FPGAs ndi izi:
(1) Ma FPGA amadalira kugwiritsa ntchito ma hardware pazinthu zonse ndipo sangathe kugwiritsa ntchito ntchito monga kulumpha kokhazikika.
(2) Ma FPGA amatha kukhazikitsa ntchito zokhazikika.
Mwachidule: Ma FPGA amadalira hardware kuti agwiritse ntchito ntchito zonse ndipo akhoza kufananizidwa ndi tchipisi todzipatulira potengera liwiro, koma pali kusiyana kwakukulu pamapangidwe osinthika poyerekeza ndi mapurosesa a cholinga.

Pangani zilankhulo ndi nsanja

Zipangizo zomveka zosinthika ndi zonyamulira za Hardware zomwe zimapanga ntchito zokhazikitsidwa ndiukadaulo wamapulogalamu apakompyuta kudzera muukadaulo wa EDA.Ma FPGA, monga zida zodziwika bwino zomwe zimagwiritsa ntchito njirayi, ndizokhazikika kwa ogwiritsa ntchito, osinthika kwambiri komanso osinthika, osavuta kugwiritsa ntchito, komanso ofulumira kuyesa ndikukhazikitsa mu Hardware.
Chilankhulo cha Hardware Description Language (HDL) ndi chilankhulo chomwe chimagwiritsidwa ntchito popanga makina a digito ndi kufotokoza mabwalo a digito, omwe amagwiritsidwa ntchito kwambiri ndi VHDL, Verilog HDL, System Verilog ndi System C.
Monga chinenero chofotokozera za hardware, Very High Speed ​​Integrated Circuit Hardware Description Language (VHDL) ili ndi makhalidwe odziimira pawokha pazigawo zina za hardware komanso osadalira nsanja yopangira, ndi ubwino wa kulongosola kosiyanasiyana, osati kudalira zida zenizeni, komanso kuthekera kufotokozera mapangidwe a zovuta zowongolera m'ndondomeko yokhazikika komanso yachidule, etc. Imathandizidwa ndi makampani ambiri a EDA ndipo yakhala ikugwiritsidwa ntchito kwambiri pakupanga zamagetsi.amagwiritsidwa ntchito kwambiri.
VHDL ndi chilankhulo chapamwamba cha mapangidwe a dera, ndipo poyerekeza ndi zilankhulo zina zofotokozera za hardware, ili ndi zizindikiro za chinenero chosavuta, kusinthasintha komanso kudziyimira pawokha kuchokera ku mapangidwe a chipangizo, zomwe zimapangitsa kuti zikhale chinenero chofotokozera za hardware zaukadaulo wa EDA ndikupanga ukadaulo wa EDA kukhala wochulukirapo. zofikirika kwa okonza.
Verilog HDL ndi chilankhulo chofotokozera za Hardware chomwe chitha kugwiritsidwa ntchito pamagawo angapo pamapangidwe a hardware, kuphatikiza ma modelling, synthesis and simulation.
Ubwino wa Verilog HDL: wofanana ndi C, wosavuta kuphunzira komanso wosinthika.Zotengera makulidwe azilembo.Ubwino polemba zolimbikitsira ndi kutsanzira.Zoyipa: zolakwika zambiri sizingadziwike panthawi yophatikiza.
Ubwino wa VHDL: Mafotokozedwe okhwima, maulamuliro omveka bwino.Zoipa: nthawi yayitali yodziwika bwino, yosasinthika mokwanira.
Mapulogalamu a Quartus_II ndi malo opangidwa ndi mapulatifomu ambiri opangidwa ndi Altera, omwe amatha kukwaniritsa zosowa zamapangidwe a ma FPGA ndi ma CPLD osiyanasiyana, ndipo ndi malo okwanira opangira makina opangira makina.
Vivado Design Suite, malo osakanikirana opangidwa ndi FPGA vendor Xilinx mu 2012. Zimaphatikizapo malo opangidwira kwambiri opangidwa ndi zida zatsopano kuchokera ku dongosolo kupita ku mlingo wa IC, zonse zomwe zimamangidwa pamtundu wa data scalable wogawana ndi malo omwe amawonongeka.Xilinx Vivado Design Suite imapereka ma FIFO IP cores omwe angagwiritsidwe ntchito mosavuta pamapangidwe.


  • Zam'mbuyo:
  • Ena:

  • Lembani uthenga wanu apa ndikutumiza kwa ife