dongosolo_bg

Nkhani

Chidziwitso cha njira yopangira mkate Wobwereranso

Chidziwitso cha njira yopangira mkate Wobwereranso

 

Zophika zomwe zakhala zikukonzedwa kutsogolo ndikuyesa kuyesa kophika ziyamba kukonzanso kumapeto ndi Back Grinding.Kubwerera kumbuyo ndi njira yochepetsera kumbuyo kwa chofufumitsa, chomwe cholinga chake sikungochepetsa makulidwe a mtanda, komanso kugwirizanitsa njira zakutsogolo ndi kumbuyo kuti athetse mavuto pakati pa njira ziwirizi.Kuchepa kwa semiconductor Chip, tchipisi zambiri zimatha kupakidwa ndikuwonjezera kuphatikiza.Komabe, kuphatikizika kwapamwamba, kumachepetsa ntchito ya mankhwala.Chifukwa chake, pali kutsutsana pakati pa kuphatikiza ndi kukonza magwiridwe antchito azinthu.Chifukwa chake, Njira Yogaya yomwe imatsimikizira makulidwe a mkate ndi imodzi mwamakiyi ochepetsa mtengo wa tchipisi ta semiconductor ndikuzindikira mtundu wazinthu.

1. Cholinga cha Kugaya Msana

Popanga ma semiconductors kuchokera ku zowotcha, mawonekedwe a ma wafers amasintha nthawi zonse.Choyamba, muzopanga zopangira zofufumitsa, Mphepete mwa nyanja ndi pamwamba pa chofufumitsa zimapukutidwa, njira yomwe nthawi zambiri imagaya mbali zonse ziwiri za chofufumitsa.Pambuyo pa mapeto a ndondomeko ya kutsogolo, mukhoza kuyambitsa ndondomeko yopera kumbuyo yomwe imangopera kumbuyo kwa chofufumitsa, chomwe chingachotse kuipitsidwa kwa mankhwala kutsogolo ndikuchepetsa makulidwe a chip, chomwe chiri choyenera kwambiri. kupanga tchipisi tating'onoting'ono toyikidwa pamakhadi a IC kapena zida zam'manja.Kuonjezera apo, njirayi ili ndi ubwino wochepetsera kukana, kuchepetsa kugwiritsira ntchito mphamvu, kuonjezera kutentha kwa matenthedwe ndi kutulutsa mofulumira kutentha kumbuyo kwa chofufumitsa.Koma panthawi imodzimodziyo, chifukwa chophikacho ndi chowonda, n'chosavuta kuthyoledwa kapena kupotozedwa ndi mphamvu zakunja, zomwe zimapangitsa kuti ntchitoyo ikhale yovuta kwambiri.

2. Back Akupera (Back Akupera) ndondomeko mwatsatanetsatane

Back akupera akhoza kugawidwa mu masitepe atatu otsatirawa: choyamba, muiike zoteteza Tepi Lamination pa mtanda;Chachiwiri, perani kumbuyo kwa mtanda;Chachitatu, musanalekanitse chip kuchokera ku Wafer, chophimbacho chiyenera kuikidwa pa Wafer Mounting yomwe imateteza tepi.Njira yophatikizira yophatikizika ndi gawo lokonzekera kulekanitsachip(kudula chip) ndipo motero amathanso kuphatikizidwa muzodula.M'zaka zaposachedwa, tchipisi tayamba kuchepa, njira zotsatirira zitha kusinthanso, ndipo masitepe akusinthanso.

3. Tepi Lamination ndondomeko chitetezo chophwanyika

Gawo loyamba la kugaya kumbuyo ndikuphimba.Iyi ndi njira yophikira yomwe imamatira tepi kutsogolo kwa mkate.Pamene akupera kumbuyo, zitsulo za silicon zidzafalikira mozungulira, ndipo chophikacho chikhozanso kusweka kapena kugwedezeka chifukwa cha mphamvu zakunja panthawiyi, ndipo malo akuluakulu ophwanyika, amatha kutengeka kwambiri ndi izi.Choncho, musanagaye kumbuyo, filimu yopyapyala ya buluu ya Ultra Violet (UV) imamangiriridwa kuti iteteze chowotchacho.

Mukamagwiritsa ntchito filimuyi, kuti musapangitse kusiyana kapena kuphulika kwa mpweya pakati pa mtanda ndi tepi, m'pofunika kuwonjezera mphamvu zomatira.Komabe, mutatha kugaya kumbuyo, tepi yomwe ili pamtanda iyenera kuyatsidwa ndi kuwala kwa ultraviolet kuti muchepetse mphamvu yomatira.Pambuyo kuvula, zotsalira za tepi siziyenera kukhala pamtunda.Nthawi zina, ndondomeko ntchito ofooka adhesion ndi sachedwa kuwira sanali ultraviolet kuchepetsa nembanemba mankhwala, ngakhale kuipa ambiri, koma zotsika mtengo.Kuphatikiza apo, mafilimu a Bump, omwe ndi okhuthala kawiri kuposa ma nembanemba ochepetsa UV, amagwiritsidwanso ntchito, ndipo akuyembekezeka kugwiritsidwa ntchito pafupipafupi mtsogolo.

 

4. Kukhuthala kwa mkate kumayenderana mosagwirizana ndi phukusi la chip

Kukhuthala kwa mng'oma pambuyo pogaya chakumbuyo kumachepetsedwa kuchoka pa 800-700 µm kufika pa 80-70 µm.Zophika zopyapyala zocheperako mpaka chakhumi zimatha kuyika magawo anayi kapena asanu ndi limodzi.Posachedwapa, zopyapyala zimatha kuchepetsedwa mpaka pafupifupi mamilimita 20 ndi njira yogaya ziwiri, potero ndikuziyika mpaka magawo 16 mpaka 32, mawonekedwe amitundu yambiri osanjikiza otchedwa multi-chip package (MCP).Pachifukwa ichi, ngakhale kugwiritsiridwa ntchito kwa zigawo zingapo, kutalika kwa phukusi lomalizidwa sikuyenera kupitirira makulidwe ena, chifukwa chake zowonda zopyapyala zimatsatiridwa nthawi zonse.Mkate wowondayo ukakhala wochepa thupi, m'pamenenso pali zolakwika zambiri, ndipo m'pamenenso njira yotsatira imakhala yovuta.Choncho, zipangizo zamakono zimafunika kuti vutoli lithe.

5. Kusintha kwa njira yopera kumbuyo

Mwa kudula zowonda ngati zowonda momwe mungathere kuti mugonjetse malire a njira zopangira, ukadaulo wogaya wakumbuyo ukupitilirabe kusinthika.Kwa mawotchi wamba okhala ndi makulidwe a 50 kapena kupitilira apo, Kugaya kumbuyo kumaphatikizapo masitepe atatu: Kupera Mowuma kenako Kupera Kwabwino, pomwe mtandawo umadulidwa ndikupukutidwa pambuyo pa magawo awiri akupera.Panthawiyi, mofanana ndi Chemical Mechanical Polishing (CMP), Slurry ndi Deionized Water nthawi zambiri amagwiritsidwa ntchito pakati pa phala lopukutira ndi chophika.Ntchito yopukutira iyi imatha kuchepetsa kukangana pakati pa chophatikizira ndi chopukutira, ndikupangitsa kuti pamwamba pakhale kuwala.Chophikacho chikakhala chokhuthala, Super Fine Grinding itha kugwiritsidwa ntchito, koma chophatikizika chochepa kwambiri, m'pamenenso chimafunika kupukuta kwambiri.

Ngati chowotchacho chimakhala chochepa thupi, chimakhala ndi zolakwika zakunja panthawi yodula.Chifukwa chake, ngati makulidwe a mkatewo ndi 50 µm kapena kuchepera, ndondomekoyi ingasinthidwe.Panthawiyi, njira ya DBG (Dicing Before Grinding) imagwiritsidwa ntchito, ndiko kuti, mkatewo umadulidwa pakati pasanayambe kugaya koyamba.Chipcho chimasiyanitsidwa bwino ndi chophikacho mwadongosolo la Dicing, kugaya, ndi kudula.Kuphatikiza apo, pali njira zapadera zogaya zomwe zimagwiritsa ntchito mbale yagalasi yolimba kuti zisawonongeke.

Ndi kufunikira kowonjezereka kwa kuphatikizika kwa miniaturization ya zida zamagetsi, ukadaulo wakumbuyo wakumbuyo suyenera kungogonjetsa malire ake, komanso kupitiliza kukula.Panthawi imodzimodziyo, sikoyenera kuthetsa vuto lachilema la chophika, komanso kukonzekera mavuto atsopano omwe angabwere m'tsogolomu.Kuti athetse mavutowa, pangafunike kuterokusinthakutsata ndondomeko, kapena yambitsani ukadaulo wa etching wamankhwala womwe umagwiritsidwa ntchito pasemiconductorkutsogolo-mapeto ndondomeko, ndi bwino kukhala njira zatsopano processing.Pofuna kuthana ndi zolakwika zomwe zimachitika m'madera akuluakulu, njira zosiyanasiyana zopera zikufufuzidwa.Kuphatikiza apo, kafukufuku akuchitika wokhudza momwe angagwiritsire ntchito silagi ya silicon yomwe imapangidwa pambuyo popera zophika.

 


Nthawi yotumiza: Jul-14-2023