dongosolo_bg

mankhwala

Chatsopano Choyambirira XQR17V16CC44V Spot Stock FPGA Field Programmable Gate Array Logic Ic Chip Integrated Circuits

Kufotokozera mwachidule:


Tsatanetsatane wa Zamalonda

Zogulitsa Tags

Zofotokozera  
Memory Category GARA
Kuchulukana 16777 kbit
Nambala ya Mawu 2000 k
Bits pa Mawu 8 biti
Mtundu wa Phukusi CERAMIC, LCC-44
Zikhomo 44
Logic Banja Mtengo CMOS
Supply Voltage 3.3 V
Kutentha kwa Ntchito -55 mpaka 125 C (-67 mpaka 257 F)

Xilinx ikuyambitsa ma PROM amtundu wapamwamba kwambiri wa QPro™ XQR17V16 Radiation Hardened QML configuration PROMs omwe amapereka njira yosavuta kugwiritsa ntchito, yotsika mtengo yosungiramo mitsinje yayikulu ya Xilinx FPGA.XQR17V16CC44V ndi chipangizo cha 3.3V chokhala ndi mphamvu yosungira 16 Mb ndipo chimatha kugwira ntchito mu serial kapena byte-wide mode.kwa chithunzi chosavuta cha block cha kapangidwe ka zida za XQR17V16.

FPGA ikakhala mu Master Serial mode, imapanga wotchi yosinthira yomwe imayendetsa PROM.Nthawi yaying'ono yofikira pambuyo pa m'mphepete mwa wotchi yokwera, deta ikuwonekera pa pin ya PROM DATA yomwe imagwirizanitsidwa ndi FPGA DIN pini.FPGA imapanga kuchuluka koyenera kwa mawotchi kuti amalize kasinthidwe.Ikakonzedwa, imalepheretsa PROM.Pamene FPGA ili mu Slave Serial mode, PROM ndi FPGA ziyenera kutsekedwa ndi chizindikiro chomwe chikubwera.

FPGA ikakhala mu Master SelectMAP mode, imapanga wotchi yosinthira yomwe imayendetsa PROM ndi FPGA.Pambuyo pa kukwera kwa CCLK, deta imapezeka pa zikhomo za PROMs DATA (D0-D7).Deta idzatsekedwa mu FPGA pamphepete mwa CCLK.FPGA ikakhala mu Slave SelectMAP mode, PROM ndi FPGA ziyenera kutsekedwa ndi chizindikiro chomwe chikubwera.A freerunning oscillator angagwiritsidwe ntchito kuyendetsa CCLK.Zida zingapo zitha kulumikizidwa pogwiritsa ntchito zotulutsa za CEO kuyendetsa kuyika kwa CE pazida zotsatirazi.Zolowetsa wotchi ndi zotulutsa za DATA za ma PROM onse mumndandandawu ndizolumikizana.Zipangizo zonse n'zogwirizana ndipo akhoza cascaded ndi ena a m'banja.Pamapulogalamu apazida, mwina Xilinx ISE Foundation kapena pulogalamu ya ISE WebPACK imapanga fayilo ya FPGA kukhala mtundu wamba wa Hex, womwe umasamutsidwa kwa opanga mapulogalamu ambiri a PROM.

Mawonekedwe
• Latch-Up Immune to Lolani>120 MeV/cm2/mg
• TID yotsimikizika ya 50 kRad(Si) pa 1019.5
• Zopangidwa pa Epitaxial Substrate
• Kusungirako kwa 16Mbit
• Ntchito yotsimikizirika pa kutentha kwathunthu kwa asilikali: -55°C mpaka +125°C
• Memory yowerengera nthawi imodzi (OTP) yopangidwa kuti isunge zosinthika za zida za Xilinx FPGA
• Mitundu yapawiri kasinthidwe
♦ Kusintha kwa seri (mpaka 33 Mb/s)
♦ Parallel (mpaka 264 Mb/s pa 33 MHz)
• Mawonekedwe osavuta a Xilinx QPro FPGAs
• Cascadable kusunga yaitali kapena angapo bitstreams
• Kukhazikitsanso polarity (yogwira Pamwamba kapena Yotsika) kuti igwirizane ndi mayankho osiyanasiyana a FPGA
• Njira yochepa ya CMOS yoyandama pazipata
• Mphamvu yamagetsi ya 3.3V
• Ipezeka mu mapaketi a ceramic CK44(1)
• Thandizo la mapulogalamu ndi otsogolera opanga mapulogalamu
• Kuthandizira pakupanga pogwiritsa ntchito phukusi la ISE Foundation kapena ISE WebPACK
• Kusungidwa kwa data kwazaka 20
Kupanga mapulogalamu
Zipangizozi zitha kupangidwa pa opanga mapulogalamu operekedwa ndi Xilinx kapena ogulitsa ena oyenerera.Wogwiritsa ntchito akuyenera kuwonetsetsa kuti ma aligorivimu amapulogalamu oyenerera ndi mtundu waposachedwa wa pulogalamu yamapulogalamu akugwiritsidwa ntchito.Kusankha kolakwika kungawononge chipangizocho.
Kufotokozera
• Latch-Up Immune to Lolani>120 MeV/cm2/mg
• TID yotsimikizika ya 50 kRad(Si) pa 1019.5
• Zopangidwa pa Epitaxial Substrate
• Kusungirako kwa 16Mbit
• Ntchito yotsimikizirika pa kutentha kwathunthu kwa asilikali: -55°C mpaka +125°C
• Memory yowerengera nthawi imodzi (OTP) yopangidwa kuti isunge zosinthika za zida za Xilinx FPGA
• Mitundu yapawiri kasinthidwe
♦ Kusintha kwa seri (mpaka 33 Mb/s)
♦ Parallel (mpaka 264 Mb/s pa 33 MHz)
• Mawonekedwe osavuta a Xilinx QPro FPGAs
• Cascadable kusunga yaitali kapena angapo bitstreams
• Kukonzekeranso polarity (yogwira Kwambiri kapena yogwira
Low) kuti igwirizane ndi mayankho osiyanasiyana a FPGA
• Njira yochepa ya CMOS yoyandama pazipata
• Mphamvu yamagetsi ya 3.3V
• Ipezeka mu mapaketi a ceramic CK44(1)
• Programming thandizo ndi kutsogolera mapulogalamu
opanga
• Kupanga chithandizo pogwiritsa ntchito ISE Foundation kapena ISE
Mapulogalamu a WebPACK
• Kusungidwa kwa data kwazaka 20


  • Zam'mbuyo:
  • Ena:

  • Lembani uthenga wanu apa ndikutumiza kwa ife