dongosolo_bg

Zogulitsa

  • LVDS Serializer 2975Mbps Magalimoto 40-Pin WQFN EP T/R DS90UB927QSQX/NOPB

    LVDS Serializer 2975Mbps Magalimoto 40-Pin WQFN EP T/R DS90UB927QSQX/NOPB

    Posanthula mawonekedwe a i2c a kapolo, mupezanso chodabwitsa kwambiri, powerenga zolembera, kapoloyo amatulutsa mawonekedwe ake kuti awerengeretu, mwachitsanzo, kuwerenga adilesi yolembetsedwa 0 × 00 data, inu adzawona pa waveform mbuyeyo atapereka adilesi yolembera 0 × 00, ndiye kuti adzapereka adilesi ya kapolo kuti awerenge (R/W = (R/W = 1), kapolo adzatulutsa ma waveform 8 a SCL kuti awerengedwe nthawi yomweyo. mawotchi 8 akadzatulutsidwa, ndipo mawotchi 8 awa sakufanana kumbali ya mbuyeyo mbuyeyo adzaperekedwa pambuyo pake, kotero kapoloyo akufanana ndi kutulutsa koyamba.

  • TCAN1042HDRQ1 Wholesales IC Chip Distributor Integrated Circuit Supply TCAN1042HDRQ1 IC Chip Wholesales

    TCAN1042HDRQ1 Wholesales IC Chip Distributor Integrated Circuit Supply TCAN1042HDRQ1 IC Chip Wholesales

    Banja la CAN transceiver limakumana ndi ISO11898-2 (2016) High Speed ​​CAN (Controller Area Network) muyeso wosanjikiza.Zipangizo zonse zidapangidwa kuti zizigwiritsidwa ntchito mumanetiweki a CAN FD mpaka 2 Mbps (megabits pa sekondi iliyonse).Zipangizo zomwe zili ndi manambala ena omwe ali ndi "G" suffix adapangidwa kuti azitengera kuchuluka kwa data mpaka 5 Mbps, ndipo mitundu yokhala ndi "V" imakhala ndi mphamvu yachiwiri yamagetsi ya I/O yosinthira mapini olowera ndi mulingo wotulutsa wa RXD.Banja ili lili ndi mphamvu yotsika yoyimilira yokhala ndi zopempha zakutali.Kuphatikiza apo, zida zonse zimakhala ndi zinthu zambiri zoteteza kuti zithandizire kulimba kwa chipangizocho komanso netiweki.

  • MSP430FR2433IRGER Zogulitsa Zogulitsa Zatsopano Zatsopano Zophatikizika za Circuit IC Chip MSP430FR2433IRGER IC Chip

    MSP430FR2433IRGER Zogulitsa Zogulitsa Zatsopano Zatsopano Zophatikizika za Circuit IC Chip MSP430FR2433IRGER IC Chip

    The MSP430FR2433 microcontroller (MCU) ndi gawo la MSP430™ Value Line sensing portfolio, banja la TI lotsika mtengo kwambiri la MCUs pakuzindikira ndi kuyeza ntchito.Zomangamanga, FRAM, ndi zotumphukira zophatikizika, zophatikizidwa ndi mitundu yocheperako yamphamvu, zimakonzedwa kuti zikwaniritse moyo wotalikirapo wa batri muzolowera zoyendetsedwa ndi batire mu phukusi laling'ono la VQFN (4 mm × 4 mm).

    TI's MSP430 Ultra-low-power FRAM microcontroller nsanja imaphatikiza FRAM yophatikizidwa mwapadera komanso kamangidwe kamene kamakhala kotsika kwambiri, kulola opanga makina kuti aziwonjezera magwiridwe antchito pomwe akuchepetsa kugwiritsa ntchito mphamvu.Ukadaulo wa FRAM umaphatikiza kulemba kwamphamvu kwapang'onopang'ono, kusinthasintha, ndi kupirira kwa RAM ndi kusasunthika kwa kung'anima.

  • DS90UB953TRHBRQ1 ( Zamagetsi Zamagetsi IC Chips Integrated Circuits IC ) DS90UB953TRHBRQ1

    DS90UB953TRHBRQ1 ( Zamagetsi Zamagetsi IC Chips Integrated Circuits IC ) DS90UB953TRHBRQ1

    Zogulitsa TYPE DESCRIPTION SANKHANI Gulu Lophatikiza Ma Circuits (ICs)PMIC Voltage Regulators - Linear Mfr Texas Instruments Series Automotive, AEC-Q100 Package Tape & Reel (TR)Dulani Tape (CT) Digi-Reel® Product Status Active Output Configuration Positive Number of Regulators 1 Voltage - Input (Max) 40V Voltage - Output (Min / Fixed) 5V Voltage - Output (Max) - ...
  • DS90UB914ATRHSRQ1 Choyambirira Chatsopano cha QFN DS90UB914ATRHSRQ1 Ndi Wogulitsa BWINO ZONSE ZOTHANDIZA ZONSE.

    DS90UB914ATRHSRQ1 Choyambirira Chatsopano cha QFN DS90UB914ATRHSRQ1 Ndi Wogulitsa BWINO ZONSE ZOTHANDIZA ZONSE.

    Chipangizo cha DS90UB914A-Q1 chimapereka mawonekedwe a FPD-Link III okhala ndi njira yopita patsogolo kwambiri komanso njira yoyang'anira njira yotumizira deta pa chingwe chimodzi coaxial kapena awiri osiyana.Chipangizo cha DS90UB914A-Q1 chimaphatikiza masigino osiyanitsira panjira zonse zothamanga kwambiri komanso njira zowongolera njira zamakina.The deserializer imayang'anira kulumikizana pakati pa ojambula ndi ma processor a kanema mu ECU (Electronic Control Unit).Chipangizochi ndi choyenera kuyendetsa mavidiyo omwe amafunikira mpaka kuzama kwa pixel 12-bit kuphatikiza ma siginecha awiri olumikizirana limodzi ndi mabasi owongolera njira ya bidirectional.

  • TCAN1051VDRQ1 Mndandanda wamalonda wa magawo TCAN1051VDRQ1

    TCAN1051VDRQ1 Mndandanda wamalonda wa magawo TCAN1051VDRQ1

    Banja la CAN transceiver limakumana ndi ISO11898-2 (2016) High Speed ​​CAN (Controller Area Network) muyeso wosanjikiza.Zipangizo zonse zidapangidwa kuti zizigwiritsidwa ntchito mumanetiweki a CAN FD mpaka 2 Mbps (megabits pa sekondi iliyonse).Zipangizo zomwe zili ndi manambala ena omwe ali ndi "G" suffix adapangidwa kuti azitengera kuchuluka kwa data mpaka 5 Mbps, ndipo mitundu yokhala ndi "V" imakhala ndi mphamvu yachiwiri yamagetsi ya I/O yosinthira mapini olowera ndi mulingo wotulutsa wa RXD.Banja la zida izi limabwera ndi mode chete yomwe imatchedwanso kumvetsera kokha.Kuphatikiza apo, zida zonse zimakhala ndi zinthu zambiri zoteteza kuti zithandizire kulimba kwa chipangizocho komanso netiweki.

  • LP87524JRNFRQ1 ( Zamagetsi Zigawo IC Chips Integrated Circuits IC ) LP87524JRNFRQ1

    LP87524JRNFRQ1 ( Zamagetsi Zigawo IC Chips Integrated Circuits IC ) LP87524JRNFRQ1

    LP87524B/J/P-Q1 idapangidwa kuti ikwaniritse zofunikira pakuwongolera mphamvu za mapurosesa aposachedwa ndi mapulatifomu pamapulogalamu osiyanasiyana amagetsi amagalimoto.Chipangizocho chili ndi ma cores anayi otsika a DC-DC, omwe amapangidwa ngati 4 gawo limodzi lotulutsa.Chipangizochi chimayendetsedwa ndi I2C-compatible serial interface ndi kuyatsa ma siginecha.

    Kuchita kwa automatic PFM/PWM (AUTO mode) kumakulitsa magwiridwe antchito pamitundu yosiyanasiyana yomwe ilipo.LP87524B/J/P-Q1 imathandizira kuwunika kwamagetsi kwakutali kuti kulipirire kutsika kwa IR pakati pa zotulutsa zowongolera ndi poyambira-katundu (POL) motero kuwongolera kulondola kwamagetsi otulutsa.Kuphatikiza apo wotchi yosinthira imatha kukakamizidwa kunjira ya PWM ndikulumikizidwanso ndi wotchi yakunja kuti muchepetse zosokoneza.

  • TPS74801DRCR (Kupereka kotentha) TPS74801DRCR Yatsopano

    TPS74801DRCR (Kupereka kotentha) TPS74801DRCR Yatsopano

    Banja la CAN transceiver limakumana ndi ISO11898-2 (2016) High Speed ​​CAN (Controller Area Network) muyeso wosanjikiza.Zipangizo zonse zidapangidwa kuti zizigwiritsidwa ntchito mumanetiweki a CAN FD mpaka 2 Mbps (megabits pa sekondi iliyonse).Zipangizo zomwe zili ndi manambala ena omwe ali ndi "G" suffix adapangidwa kuti azitengera kuchuluka kwa data mpaka 5 Mbps, ndipo mitundu yokhala ndi "V" imakhala ndi mphamvu yachiwiri yamagetsi ya I/O yosinthira mapini olowera ndi mulingo wotulutsa wa RXD.Banja la zida izi limabwera ndi mode chete yomwe imatchedwanso kumvetsera kokha.Kuphatikiza apo, zida zonse zimakhala ndi zinthu zambiri zoteteza kuti zithandizire kulimba kwa chipangizocho komanso netiweki.

  • LP5912Q1.8DRVRQ1 Mtundu watsopano wa IC weniweni wa Electronic Components Ic Chip Support BOM Service TPS62130AQRGTRQ1

    LP5912Q1.8DRVRQ1 Mtundu watsopano wa IC weniweni wa Electronic Components Ic Chip Support BOM Service TPS62130AQRGTRQ1

    LP5912-Q1 ndi LDO yaphokoso yotsika yomwe imatha kupereka mpaka 500 mA yotulutsa pano.Chopangidwa kuti chikwaniritse zofunikira za RF ndi ma analogi mabwalo, chipangizo cha LP5912-Q1 chimapereka phokoso lochepa, PSRR yapamwamba, yotsika kwambiri, komanso mizere yotsika komanso kuyankha kwakanthawi kochepa.LP5912-Q1 imapereka phokoso lotsogola m'kalasi popanda phokoso lodutsa capacitor komanso kuthekera koyika patali.

  • LMR16030SDDAR China Original Integrated Circuit IC LMR16030SDDAR SO-8 IC Chip

    LMR16030SDDAR China Original Integrated Circuit IC LMR16030SDDAR SO-8 IC Chip

    LMR16030 ndi chowongolera chotsika cha 60-V, 3-A SIMPLE SWITCHER chokhala ndi MOSFET yapakatikati.Ndi zolowetsa zambiri kuchokera ku 4.3 V mpaka 60 V, ndizoyenera kugwiritsa ntchito zosiyanasiyana kuchokera ku mafakitale kupita ku magalimoto opangira magetsi kuchokera kumagwero osayendetsedwa.Pakali pano wowongolera ndi 40 µA munjira yogona, yomwe ili yoyenera pamakina oyendetsedwa ndi batire.Kutsika kwambiri kwa 1-µA komwe kumatsekeka kumatha kutalikitsa moyo wa batri.Ma frequency osinthika osinthika amalola kuti magwiridwe antchito kapena kukula kwa gawo lakunja kukwaniritsidwe.Kulipira kwa loop mkati kumatanthauza kuti wogwiritsa ntchito alibe ntchito yotopetsa yakupanga chipukuta misozi.Izi zimachepetsanso zigawo zakunja za chipangizocho.Kuwongolera molondola kumalola kuphweka kwa zowongolera ndi kutsata mphamvu zamakina.Chipangizocho chilinso ndi zida zodzitchinjiriza monga malire apano ndi kuzungulira, kumva kutentha ndi kutsekeka chifukwa cha kutha kwamphamvu kwambiri, komanso chitetezo chamagetsi otulutsa.

  • Mtengo wa TPS54560BQDDARQ1

    Mtengo wa TPS54560BQDDARQ1

    TPS54560B-Q1 ndi 60 V, 5 A, chowongolera chotsika chokhala ndi mbali yayikulu ya MOSFET.Chipangizocho chimapulumuka kuphulika kwa katundu mpaka 65V pa ISO 7637. Kuwongolera kwamakono kumapereka malipiro osavuta akunja ndi kusankha kosinthika kwachigawo.Kutsika kwapang'onopang'ono kumachepetsa kutsika kwapang'onopang'ono mpaka 146 µA.Kutseka kwaposachedwa kumachepetsedwa mpaka 2 µA pomwe pini yolumikizira imakokedwa.
    Kutsekera kwa Undervoltage kumayikidwa mkati ku 4.3 V koma kumatha kuonjezedwa pogwiritsa ntchito pini yolumikizira.The linanena bungwe voteji start up ramp imayendetsedwa mkati kuti ipereke kuyambika koyendetsedwa ndikuchotsa overshoot.

  • TPS54360BDDAR Zida zamagetsi zatsopano zophatikizika IC

    TPS54360BDDAR Zida zamagetsi zatsopano zophatikizika IC

    TPS54360B ndi 60-V, 3.5-A, chowongolera chotsika pansi chokhala ndi mbali yayikulu ya MOSFET.Ulamuliro wamakono wamakono umapereka malipiro ophweka akunja ndi kusankha kosinthika kwachigawo.Kutsika kwapang'onopang'ono kumachepetsa kuchuluka kwaposachedwa kwa 146 µA.Kutseka kwaposachedwa kumachepetsedwa mpaka 2 µA pomwe pini yolumikizira imakokedwa.

    Kutsekera kwa Undervoltage kumayikidwa mkati ku 4.3 V koma kumatha kuonjezedwa pogwiritsa ntchito pini yolumikizira.The linanena bungwe voteji poyambira-mmwamba njira amawongoleredwa mkati kupereka ankalamulira kuyamba ndi kuthetsa overshoot.