dongosolo_bg

mankhwala

IC SOC CORTEX-A53 1156FCBGA XCZU9CG-1FFVB1156I ic tchipisi zamagetsi zigawo Integrated mabwalo BOM SERVICE malo amodzi kugula

Kufotokozera mwachidule:


Tsatanetsatane wa Zamalonda

Zogulitsa Tags

Makhalidwe a Zamalonda

TYPE DESCRIPTION
Gulu Magawo Ophatikizana (ICs)Zophatikizidwa

System On Chip (SoC)

Mfr AMD Xilinx
Mndandanda Zynq® UltraScale+™ MPSoC CG
Phukusi Thireyi
Phukusi lokhazikika 1
Mkhalidwe wa Zamalonda Yogwira
Zomangamanga MCU, FPGA
Core processor Dual ARM® Cortex®-A53 MPCore™ yokhala ndi CoreSight™, Dual ARM®Cortex™-R5 yokhala ndi CoreSight™
Kukula kwa Flash -
Kukula kwa RAM 256 KB
Zotumphukira DMA, WDT
Kulumikizana CANbus, EBI/EMI, Efaneti, I²C, MMC/SD/SDIO, SPI, UART/USART, USB OTG
Liwiro 500MHz, 1.2GHz
Makhalidwe Oyambirira Zynq®UltraScale+™ FPGA, 599K+ Logic Cells
Kutentha kwa Ntchito -40°C ~ 100°C (TJ)
Phukusi / Mlandu 1156-BBGA, FCBGA
Phukusi la chipangizo cha Supplier 1156-FCBGA (35×35)
Nambala ya I/O 328
Nambala Yoyambira Yogulitsa XCZU9

Kuphatikiza kwaukadaulo kumafunikirabe nthawi

Ena ogulitsa mafakitale akudandaula kuti atapeza, dzina lakuti "Xilinx", chimphona chaching'ono mu niche yake, likhoza kusinthidwa ndi "AMD".

Malinga ndi kuwululidwa, atapeza, a Victor Peng, yemwe kale anali CEO wa Xilinx, adzakhala Purezidenti wa Adaptive and Embedded Computing Group (AECG), yomwe idzayang'anebe kuyendetsa FPGA, SoC yosinthika, ndi mapu apulogalamu.

Patsiku lomwelo, AMD idalengezanso ma board atsopano.Zifeng Su wawonjezera udindo wa Wapampando wa Board paudindo wake wakale wa Purezidenti ndi CEO;Jon Olson, yemwe kale anali director wa Xilinx, ndi Elizabeth Vanderslice alowa nawo AMD Board, yomwe kale inali CFO ya Xilinx ndipo womalizayo ndi wodziwa zambiri zamabanki komanso zogula.

Ngakhale kuchuluka kwake kumawoneka ngati kwakukulu, pali chitsanzo cha kupezeka kwa AMD.

Mu 2015, mdani wakale wa Intel adalengeza za kupeza kwa Altera, wachiwiri pamakampani a FPGAs, kutsegulira mtundu wachitukuko wa CPU + FPGA, pomwe AMD inali kampani yoyamba kupeza gawo lamakampani a FPGA ngati "wachiwiri pa miliyoni".Choncho zikuonekeratu kuti awiriwa akulimbananso ndi vutoli.

Zachidziwikire, zotsatira za mpikisano wa AMD's CPU+GPU+FPGA sizikudziwikabe.

Kupatula apo, ngakhale Intel yatsiriza kale kupeza Altera, kuyambira pamenepo, zopindulitsa za izi sizinawonetse posachedwapa kuchulukitsa mu lipoti lazachuma.

Mtolankhaniyo adapeza kuti Intel adamaliza kupeza Altera mu 2015, ndipo ndalama zofananira zamabizinesi zidayamba kuwonekera mu lipoti lazachuma la kampaniyo mu 2016 ndi mzere wabizinesi wa PSG (Programmable Solutions Group), womwe udawerengera 3% ya ndalama zonse.

Mu lipoti laposachedwa la FY2021 Intel zopezera ndalama, gawo la bizinesi la PSG la kampaniyo linali $1.9 biliyoni, kukwera 4% pachaka, pomwe ndalama zonse zomwe kampaniyo idapeza chaka chimenecho zinali $79 biliyoni, ndipo gawo logwirizana nalo silinaphwanye 3. % kulemera.Izi zitha kuwoneka ngati zikuwonetsa kuti ndalama zomwe amapeza pamabizinesi okhudzana ndi FPGA sizinalimbikitse kwambiri zomwe kampaniyo idapereka.

 

Intel mchaka chandalama cha 2021 zopereka zosiyanasiyana zamabizinesi, gawo la PSG ndilotsika

Pachifukwa ichi, akatswiri adauza atolankhani kuti "zotchinga zaukadaulo za FPGA ndizambiri, ndipo kuphatikizana ndi kulandirirana kumafuna nthawi yayitali yopumira mbali zonse ziwiri ndipo zimafunikira mgwirizano wapamtima ndikuwonjezera kuwongolera kwachilengedwe, njira za anzawo, komanso makasitomala."

Komabe, malinga ndi Su Zifeng, mu 2023, makampaniwa adzawona mapurosesa oyamba a AMD okhala ndi Celeris AI IP.

Mapeto

Makampaniwa akukhulupirira kuti zaka makumi angapo zapitazi za nkhondo yapakati pa Intel ndi AMD zadzetsanso kuwonjezereka komanso kutukuka pamsika wa purosesa wa CPU, ndikuyendetsa kukula kwa msika wa PC ndi othandizira ena, kulola ma PC kulowa mumsika. msika wa ogula pamitengo yotsika.

Mu post Moore's Law era, Intel yabweretsa CEO watsopano kuti awonjezere ndalama mu bizinesi ya IDM, pomwe akulowa muzomangamanga za RISC-V, ndi omenyera awiri akale omwe akupikisana pamsika wapamwamba kwambiri wokhala ndi CPU + FPGA mapangidwe. , mpikisano woopsa udzapitirirabe kugwera m'madera ambiri.


  • Zam'mbuyo:
  • Ena:

  • Lembani uthenga wanu apa ndikutumiza kwa ife